Part Number Hot Search : 
A5800 TN5125 T1218C 368011 1SMA40CA 3256A 1N4959D P6KE110
Product Description
Full Text Search
 

To Download STA016T Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  1/45 STA016T may 2001 this is preliminary information on a new product foreseen to be developed. details are subject to change without notice. n single chip mpeg layer 3 decoder supporting: - all features specified for layer iii in iso/iec 11172-3 (mpeg 1 audio) - all features specified for layer iii in iso/iec 13818-3.2 (mpeg 2 audio) - lower sampling frequencies syntax extension, (not specified by iso) called mpeg 2.5 n decodes layer iii stereo channels, dual channel, single channel (mono) n supporting all the mpeg 1 & 2 sampling frequencies and the extension to mpeg 2.5:48, 44.1,32, 24,22.05, 16, 12,11. 025, 8 khz n accepts mpeg 2.5 layer iii elementary compressed bitstream with data rate from 8 kbit/s up to 320 kbit/s n bypass mode for external auxiliary audio source n adpcm encoding/decoding capability: - sample frequency from 8 khz to 32 khz - sample size from 8 bits to 32 bits - encoding algorithm: dvi, itu-g726 pack (g723-24, g721,g723-40) n embedded iso9660 layer for file- system decoding (joliet) n embedded cd-rom decoder blocks including ecc/edc capability n flexible i 2 s input interface for easy connection with most cd-servo devices n embedded browsing command interpreter for easy file-system browsing n cue-sheet capability up to 100 entries n browser command interpreter (bci) - parent dir - enter dir - previous entry - next entry - get record infos n easy programmable gpso interface (mono/stereo) for encoded data up to 5mbit/s n digital volume n bass & treble control n serial bitstream input interface n easy programmable adc input interface n serial pcm output interface (i 2 s and other formats) n pll for internal clock and for output pcm clock generation n crc check and synchronisation error detection with software indicators n i 2 c control bus n low power 2.4v cmos technology with 3.3v tolerant and capable i/o n fast forward and pause capabilities applications n audio cd players n multimedia players n cd-rom players n car radio players tqfp64 ordering number: STA016T product preview mpeg 2.5 layer iii audio decoder supporting cd-rom capability & adpcm
STA016T 2/45 description the sta016 is a single chip mpeg 1, 2 and 2.5 layer iii audio decoder with embedded cdrom decoding ca- pability. it can be easily connected to most existing cddsp devices via a software configurable serial link. a tipical application block diagram is show in figure 1. besides mpeg decoding the device can also perform ad- pcm encoding/decoding from different audio sources and the encoded stream, for instance, can be stored on an external flash memory. a useful bypass mode allow using this device also as an audio processor for volume and tone controls. figure 1. typical cd-player application absolute maximum ratings thermal data symbol parameter value unit v dd power supply -0.3 to 3 v v i voltage on input pins -0.3 to v dd +0.3 v v o voltage on output pins -0.3 to v dd +0.3 v t stg storage temperature -40 to +150 c t op operative ambient temp -20 to +85 c symbol parameter value unit r th j-amb thermal resistance junction to ambient 85 c/w i2c sdi gpso i2s out cddspi/f l r sta016 cddsp cd mechanic mcu d/a flashmemory for mp3 files or adpcm encoded messages (optional) tunermodule or aux.audio source cd module
3/45 STA016T 1 overview the device can decode/process data coming from three possible sources, as showed in figure 2: n cddsp serial link: using this input interface, besides mp3 encoded data cd, it's possible to playback also standard audio cd using the available volume and tone equalizer features of the device and allowing the use of only one d/a converter with no external analog switch. n sdi input interface: through this input interface it's possible to decode any mp3 bitstream coming, for instance, from an external flash memory. this same interface is also used to decode adpcm streams. n i 2 s input interface: this interface can be used both to encode an external audio source (with variable compression based on 4 different adpcm algorithm) or to process an external audio source (tuner, for instance) through the dsp based volume and tone controls:this bypass mode can avoid the use of additional d/a converters or postprocessing units. 1.1 mp3 decoder engine the mp3 decoder engine is able to decode any layer iii compliant bitstream: mpeg1, mpeg2 and mpeg2.5 streams are supported. decoded audio data goes through a software volume control and a two-band equalizer blocks before feeding the output i 2 s interface. this results in no need for an external audio processor. table 1. mpeg sampling rates (khz) 1.2 adpcm encoder/decoder engine this device also embeds a multistandard adpcm encoder/decoder supporting different sample rates (from 8 khz up to 32 khz) and different sample sizes (from 8 bit to 32 bits). during encoding process two different in- terfaces can be used to feed data: the serial input interface (same interface used also to feed mp3 bitstream) or the adc input interface, which provides a seamless connection with an external a/d converter. the currently used interface is selected via i2c bus. also to retrieve encoded data a specific interface is available: the fast gpso output interface. gpso interface is able to output data with a bitrate up to 5 mbit/s and its control pins (gpso_sckr, gpso_data and gpso_req) can be configured in order to easily fit the target application. mpeg 1 mpeg 2 mpeg 2.5 48 24 12 44.1 22.05 11.025 32 16 8
STA016T 4/45 figure 2. block diagram the basic functions of the device can be fully operated via the i 2 c bus. besides that the gpso interface can be used to move huge amount of data this fast and flexible interface can achieve transfer rates up to 5 mbit/s. the embedded dsp firmware implements all the layers required to decode a standard data cd, as shown in the figure 3: figure 3. layers performed by embedded dsp firmware the whole cdrom and file-system decoding task is performed by embedded firmware. the application mcu, basically, must manage cddsp device according to sta016 requests. three basic command flows exist: n mcu -> sta016: commands used to handle decoder operation and to ask for specific information like filename, filelength, sector raw data, etc. this flow will use i 2 c (gpso for special operations) interface. n sta016 -> mcu: this channel is used to retrieve inquired information and to inform mcu that a cddsp cddsp i/f sector buffer sync detect. mmdsp core - iso9660 + joliet - bci - mp3 + adpcm descram. input selector cdrom decoder (c3) ecc/edc cd_bck cd_sdi cd_lrck i 2 s in i/f bcki sdi lrcki stb rqst i 2 c i/f i 2 c reg bank pll osck xti xto osc pcm output buffer i 2 s out i/f gpso i/f scl sda gpso_req gpso_sdo gpso_ck lrcko sdo bcko sdi i/f bs_bck bs_sdi bs_lrck dreq d00au1221 frames to sector translator sync detector descrambler edc/ecc (c3) iso9660 file system decoding (with joliet support) browsing command interface
5/45 STA016T specific operation must be performed (like pick-up repositioning). this flow is based on i 2 c link plus an additional interrupt signal in order to avoid time consuming polling techniques. n mcu -> cddsp: the cddsp management is fully up to the application mcu. this architecture allows maximum flexibility and easy migration from existing cdplayers to mp3 cdplayers. pin connection pin description pin pin name type description sourde/dest cddsp interface 1 cd_lrck i dsp interface left/right clock from dsp 3 cd_sdi i dsp interface serial data from dsp 2 cd_bck i dsp interface bit clock from dsp sdi interface 9 bs_sdi i bitstream interface serial data from mcu 7 bs_lrck i bitstream interface left/right clock from mcu 8 bs_bck i bitstream interface clock from mcu 4 dreq o bitstream data request to mcu pcm in interface 13 bcki i adc bit clock from adc 1 2 3 5 6 4 7 8 9 10 27 11 28 29 30 31 32 59 58 57 56 54 55 53 52 51 50 49 43 42 41 39 38 40 48 47 46 44 45 vss_1 vdd_1 dreq cd_bck cd_lrck cd_sdi vss_2 vdd_2 bs_sdi bs_lrck bs_bck sdo vcc_1 vss_3 clkout iodata1 iodata0 iodata2 vdd_3 vss_4 iodata3 iodata4 rqst vcc_3 vss_8 gpso_req gpso_sdo gpso_ck vdd_5 vss_7 iodata15 iodata14 iodata13 vss_6 vcc_2 pll_gnd pll_vcc filt1 filt0 iodata12 iodata11 iodata10 iodata8 iodata9 d00au1227 22 23 24 25 26 60 stb 61 vss_9 62 vdd_6 63 scl 64 sda xti xto osck lrcko bcko 17 18 19 20 21 37 36 34 33 35 vss_5 vdd_4 iodata6 iodata5 iodata7 12 13 14 15 16 testen reset sdi lrck1 bcki
STA016T 6/45 14 sdi i adc serial data from adc 12 lrcki i adc left/right clock from adc pcm out interface 20 lrcko o dac interface left/right clock to dac 22 sdo o dac serial data to dac 21 bcko o dac bit clock to dac 19 osck o dac oversampling clock to dac/adc gpso interface 55 gpso_ck i gpso bit clock from mcu 54 gpso_sdo o gpso serial data to mcu 56 gpso_req o gpso request signal to mcu gpio interface 26 iodata0 i/o gpiodata0 27 iodata1 i/o gpiodata1 28 iodata2 i/o gpiodata2 31 iodata3 i/o gpiodata3 32 iodata4 i/o gpiodata4 33 iodata5 i/o gpiodata5 34 iodata6 i/o gpiodata6 35 iodata7 i/o gpiodata7 44 iodata8 i/o gpiodata8 45 iodata9 i/o gpiodata9 46 iodata10 i/o gpiodata10 47 iodata11 i/o gpiodata11 48 iodata12 i/o gpiodata12 49 iodata13 i/o gpiodata13 50 iodata14 i/o gpiodata14 51 iodata15 i/o gpiodata15 pin description (continued) pin pin name type description sourde/dest
7/45 STA016T handshake signals 60 stb i strobe signal from mcu 59 rqst o i2c data signal to mcu i 2 clink 63 scl i i2c clock signal from mcu 64 sda i/o i2c data signal to mcu miscellaneous 17 xti i oscillator input 18 xto o oscillator output 25 clkout o buffered output clock 15 -reset i reset 16 -testen i reserved for test purpose 40 filt0 i pll external filter 38 filt1 pll external filter power supply 39 pll_vcc 41 pll_gnd 5 vdd_1 digital supply (2.5v power supply) 10 vdd_2 digital supply (2.5v power supply) 29 vdd_3 digital supply (2.5v power supply) 36 vdd_4 digital supply (2.5v power supply) 53 vdd_5 digital supply (2.5v power supply) 62 vdd_6 digital supply (2.5v power supply) 23 vcc_1 digital supply (3.3v power supply) 42 vcc_2 digital supply (3.3v power supply) 58 vcc_3 digital supply (3.3v power supply) 6 vss_1 11 vss_2 24 vss_3 30 vss_4 37 vss_5 43 vss_6 52 vss_7 57 vss_8 61 vss_9 pin description (continued) pin pin name type description sourde/dest
STA016T 8/45 electrical characteristcs (v dd = 3.3v 0.3v; t amb = 0 to 70 c; r g =50 w unless otherwise specified) dc operating conditions general interface electrical characteristics note 1 : the leakage currents are generally very small, < 1na. the value given here is a maximum that can occur after an electrostatic stress on the pin. note 2: human body model. dc electrical characteristics note1: takes into account 200mv voltage drop in both supply lines. note 2: x is the source/sink current under worst case conditions and is reflected in the name of the i/o cell according to the drive capability. note 1: min. condition: vdd = 2.7v, 125 c min process max. condition: vdd = 3.6v, -20 c max. power dissipation symbol parameter value unit v dd power supply voltage 2.4 v t j operating junction temperature -20 to 125 c symbol parameter test condition min. typ. max. unit note i il low level input currentwithout pull-up device v i = 0v -10 10 m a1 i ih high level input currentwithout pull-up device v i =v dd -10 10 m a1 v esd electrostatic protection leakage < 1 m a2000 v2 symbol parameter test condition min. typ. max. unit note v il low level input voltage 0.2*v dd v v ih high level input voltage 0.8*v dd v v ol low level output voltage i ol = xma 0.4v v 1, 2 v oh high level output voltage 0.85*v dd v1,2 symbol parameter test condition min. typ. max. unit note i pu pull-up current v i = 0v; pin numbers 7, 24 and 26 -25 -66 -125 m a1 r pu equivalent pull-up resistance 50 k w symbol parameter test condition min. typ. max. unit note p d power dissipation@ v dd = 2.4v sampling_freq 24 khz t.b.d. mw sampling_freq 32 khz t.b.d. mw sampling_freq 48 khz t.b.d. mw
9/45 STA016T 2 host registers the following table gives a description of sta016 register list. the sta016 device includes 256 i 2 c registers. in this document, only the user-oriented registers are described. the undocumented registers are reserved or unused. these registers must never be accessed (in read or in write mode). the read-only registers must never be written we can split the data flux in different time periods (see following diagram) meanwhile host registers can be read or written : n dwt : during whole time (at any time during process). n dec : during external config (period between run=2 and run=1). n dbo : during boot (period between run=0 and run=2). n abo : after boot (period after run=1). n aec : after external config (period after run=2). n edf : every decoded frame (each time a frame has been decoded). n edb : every decoded block (each time a block has been decoded). hr run==0 soft_reset = 1 ck_cmd = 0 run==2 run==1 block1 frame1 block2 frame1 block1 frame2 time dwt abo dec dbo aec edb edb edf edb d01au1260
STA016T 10/45 register map by function register function hex dec name type when version 0x00 0 version ro dwt 0x01 1 ident ro dwt 0xd3 211 soft_version ro dwt pll_audio_configuration 0xdc 220 pll_audio_pel_192 rw dec 0xdd 221 pll_audio_peh_192 rw dec 0xde 222 pll_audio_ndiv_192 rw dec 0xdf 223 pll_audio_xdiv_192 rw dec 0xe0 224 pll_audio_mdiv_192 rw dec 0xe1 225 pll_audio_pel_176 rw dec 0xe2 226 pll_audio_peh_176 rw dec 0xe3 227 pll_audio_ndiv_176 rw dec 0xe4 228 pll_audio_xdiv_176 rw dec 0xe5 229 pll_audio_mdiv_176 rw dec pll_system_configuration 0xe6 230 pll_system_pel_50 rw dec 0xe7 231 pll_system_peh_50 rw dec 0xe8 232 pll_system_ndiv_50 rw dec 0xe9 233 pll_system_xdiv_50 rw dec 0xea 234 pll_system_mdiv_50 rw dec 0xeb 235 pll_system_pel_42_5 rw dec 0xec 236 pll_system_peh_42_5 rw dec 0xed 237 pll_system_ndiv_42_5 rw dec 0xee 238 pll_system_xdiv_42_5 rw dec 0xef 239 pll_system_mdiv_42_5 rw dec i2sout_configuration 0x66 102 output_conf rw dec 0x67 103 pcm_div rw dec 0x68 104 pcm_conf rw dec 0x69 105 pcm_cross rw dec gpso_configuration 0x66 102 output_conf rw dec 0x6a 106 gpso_conf rw dec i2sin_configuration 0x5a 90 input_conf rw dec 0x5b 91 i_audio_config_1 rw dec 0x5c 92 i_audio_config_2 rw dec 0x5d 93 i_audio_config_3 rw dec
11/45 STA016T cdbsa_configuration 0x5a 90 input_conf rw dec 0x5b 91 i_audio_config_1 rw dec 0x5c 92 i_audio_config_2 rw dec 0x5d 93 i_audio_config_3 rw dec 0x5e 94 i_audio_config_4 rw dec 0x5f 95 i_audio_config_5 rw dec 0x60 96 i_audio_config_6 rw dec 0x61 97 i_audio_config_7 rw dec 0x62 98 i_audio_config_8 rw dec 0x63 99 i_audio_config_9 rw dec 0x64 100 i_audio_config_10 rw dec 0x65 101 i_audio_config_11 rw dec bsb_configuration 0x59 89 pol_req rw dec 0x5a 90 input_conf rw dec 0x5b 91 i_audio_config_1 rw dec cd_configuration 0x40 64 basic_command wo aec 0x41 65 fast_function_val rw abo 0x42 66 required_track rw abo 0x43 67 required_dir rw abo 0x44 68 play_mode rw abo 0x46 70 type _cd_ext_req ro aec 0x47 71 minute_req ro aec 0x48 72 second_req ro aec 0x49 73 sector_req ro aec 0x4a 74 minute_spent ro aec 0x4b 75 second_spent ro aec 0x4c 76 scanning_time rw abo 0x4d 77 play_list_index rw abo 0x4e 78 play_list_value rw abo register function hex dec name type when
STA016T 12/45 0x86 134 cd_song_info_c1 ro aec 0x87 135 cd_song_info_c2 ro aec 0x88 136 cd_song_info_c3 ro aec 0x89 137 cd_song_info_c4 ro aec 0x8a 138 cd_song_info_c5 ro aec 0x8b 139 cd_song_info_c6 ro aec 0x8c 140 cd_song_info_c7 ro aec 0x8d 141 cd_song_info_c8 ro aec 0x8e 142 cd_song_info_c9 ro aec 0x8f 143 cd_song_info_c10 ro aec 0x90 144 cd_song_info_c11 ro aec 0x91 145 cd_song_info_c12 ro aec 0x92 146 cd_song_info_c13 ro aec 0x93 147 cd_song_info_c14 ro aec 0x94 148 cd_song_info_c15 ro aec 0x95 149 cd_song_info_c16 ro aec 0x96 150 cd_song_info_c17 ro aec 0x97 151 cd_song_info_c18 ro aec 0x98 152 cd_song_info_c19 ro aec 0x99 153 cd_song_info_c20 ro aec 0x9a 154 cd_song_info_c21 ro aec 0x9b 155 cd_song_info_c22 ro aec 0x9c 156 cd_song_info_c23 ro aec 0x9d 157 cd_song_info_c24 ro aec 0x9e 158 cd_song_info_c25 ro aec 0x9f 159 cd_song_info_c26 ro aec 0xa0 160 cd_song_info_c27 ro aec 0xa1 161 cd_song_info_c28 ro aec 0xa2 162 cd_song_info_c29 ro aec 0xa3 163 cd_song_info_c30 ro aec 0xa4 164 cd_song_info_c31 ro aec 0xa5 165 cd_song_info_c32 ro aec 0xa6 166 cd_song_type_info ro aec register function hex dec name type when
13/45 STA016T 0xa7 167 nb_of_cur_track ro aec 0xa8 168 nb_of_cur_dir ro aec 0xa9 169 cd_cur_status ro aec 0xaa 170 cd_track_format ro aec 0xab 171 cd_nb_of_sub_dir ro aec 0xac 172 cd_nb_of_sub_file ro aec 0xad 173 directory_level ro aec 0xae 174 dir_identifier_b1 ro aec 0xaf 175 dir_identifier_b2 ro aec 0xb0 176 dir_identifier_b3 ro aec 0xb1 177 dir_identifier_b4 ro aec 0xb2 178 vol_identifier_b1 ro aec 0xb3 179 vol_identifier_b2 ro aec 0xb4 180 vol_identifier_b3 ro aec 0xb5 181 vol_identifier_b4 ro aec 0xb6 182 extract_byte_idx_b1 rw abo 0xb7 183 extract_byte_idx_b2 rw abo 0xb8 184 extract_byte_idx_b3 rw abo 0xb9 185 extract_byte_idx_b4 rw abo 0xba 186 extract_adr_mode rw abo 0xbc 188 config_module rw dec command 0x10 16 soft_reset wo dwt 0x3a 58 ck_cmd wo dbo 0x55 85 dec_sel rw dec 0x56 86 run rw dec 0x52 82 crc_ignore rw abo 0x53 83 mute rw abo 0x57 87 skip rw abo 0x58 88 pause rw abo register function hex dec name type when
STA016T 14/45 status 0xcc 204 status_mode ro edf 0xcd 205 status_chan_nb ro edf 0xce 206 status_sf ro edf 0x6f 111 status_fe ro edf 0xd4 212 header_1 ro edf 0xd5 213 header_2 ro edf 0xd6 214 header_3 ro edf 0xd7 215 header_4 ro edf 0xd8 216 header_5 ro edf 0xd9 217 header_6 ro edf bypassa_configuration 0x70 112 chan_nb rw dec 0x71 113 sampling_freq rw dec 0xcb 203 pcmclk_input rw dec mp3_configuration 0x52 82 crc_ignore rw abo 0x6b 107 err_dec_level ro edb 0x6c 108 err_dec_nb_1 ro edb 0x6d 109 err_dec_nb_2 ro edb adpcm_configuration 0x70 112 chan_nb rw dec 0x71 113 sampling_freq rw dec 0x72 114 enc_state_repeat rw dec 0x73 115 enc_codec rw dec 0x74 116 enc_frame_len rw dec mix_configuration 0x75 117 mix_mode rw abo 0x76 118 mix_dla rw abo 0x77 119 mix_dlb rw abo 0x78 120 mix_dra rw abo 0x79 121 mix_drb rw abo tone_configuration 0x7a 122 tone_on rw abo 0x7b 123 tone_fcuth rw abo 0x7c 124 tone_fcutl rw abo 0x7d 125 tone_gainh rw abo 0x7e 126 tone_gainl rw abo 0x7f 127 tone_gain_atten rw abo register function hex dec name type when
15/45 STA016T 3 register description 3.1 version registers description version : address : 0x00 (0) type : ro - dwt software reset : 0x10 hardware reset : 0x10 description : the version register is read-only and it is used to identify the ic on the application board. ident : address : 0x01 (1) type : ro - dwt software reset : 0xac hardware reset : 0xac description : ident is a read-only register and it is used to identify the ic on an application board. ident always has the value 0xac. soft_version : address : 0xd3 (211) type : ro - dwt software reset : x description : the soft_version register is read-only and it is used to identify the software running on the ic. 3.2 pll_audio_configuration registers description pll_audio_pel_192 : address : 0xdc (220) type : rw - dec software reset : 58 description : this register must contain a pel value that enables the audio pll to generate a frequency of ofact*192 khz for the pcmck.see table 1, 2 & 3. ofact is the oversampling factor needed by the dac (ofac==246 or ofac==384). default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_peh_192 : address : 0xdd (221) type : rw - dec software reset : 187 description : this register must contain a peh value that enables the audio pll to generate a frequency of ofact*192 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_ndiv_192 : address : 0xde (222) type : rw - dec software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 10101100 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 16/45 description : this register must contain a ndiv value that enables the audio pll to generate a frequency of ofact*192 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_xdiv_192 : address : 0xdf (223) type : rw - dec software reset : 3 description : this register must contain a xdiv value that enables the audio pll to generate a frequency of ofact*192 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_mdiv_192 : address : 0xe0 (224) type : rw - dec software reset : 12 description : this register must contain a mdiv value that enables the audio pll to generate a frequency of ofact*192 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_pel_176 : address : 0xe1 (225) type : rw - dec software reset : 54 description : this register must contain a pel value that enables the audio pll to generate a frequency of ofact*176 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : fact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_peh_176 : address : 0xe2 (226) type : rw - dec software reset : 118 description : this register must contain a peh value that enables the audio pll to generate a frequency of ofact*176 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_ndiv_176 : address : 0xe3 (227) type : rw - dec software reset : 0 description : this register must contain a ndiv value that enables the audio pll to generate a frequency of ofact*176 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
17/45 STA016T pll_audio_xdiv_176 : address : 0xe4 (228) type : rw - dec software reset : 2 description : this register must contain a xdiv value that enables the audio pll to generate a frequency of ofact*176 khz for the pcmck.see table 1, 2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_audio_mdiv_176 : address : 0xe5 (229) type : rw - dec software reset : 8 description : this register must contain a mdiv value that enables the audio pll to generate a frequency of ofact*176 khz for the pcmck.see table 1,2 & 3. default value at soft reset assume : ofact == 256 external crystal provide a cryck running at 14.31818 mhz pll_system_configuration registers de- scription pll_system_pel_50 : address : 0xe6 (230) type : rw - dec software reset : 0 description : this register must contain a pel value that enables the system pll to generate a frequency of 50 mhz for the sysck. see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_peh_50 : address : 0xe7 (231) type : rw - dec software reset : 0 description : this register must contain a peh value that enables the system pll to generate a frequency of 50 mhz for the sysck. see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_ndiv_50 : address : 0xe8 (232) type : rw - dec software reset : 0 description : this register must contain a ndiv value that enables the system pll to generate a frequency of 50 mhz for the sysck. see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_xdiv_50 : address : 0xe9 (233) type : rw - dec software reset : 1 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 18/45 description : this register must contain a xdiv value that enables the system pll to generate a frequency of 50 mhz for the sysck. see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_mdiv_50 : address : 0xea (234) type : rw - dec software reset : 13 description : this register must contain a mdiv value that enables the system pll to generate a frequency of 50 mhz for the sysck. see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_pel_42_5 address : 0xe6 (230) type : rw - dec software reset : 126 description : this register must contain a pel value that enables the system pll to generate a frequency of 42.5 mhz for the sysck.see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_peh_42_5 : address : 0xe7 (231) type : rw - dec software reset : 223 description : this register must contain a peh value that enables the system pll to generate a frequency of 42.5 mhz for the sysck.see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_ndiv_42_5 : address : 0xe8 (232) type : rw - dec software reset : 0 description : this register must contain a ndiv value that enables the system pll to generate a frequency of 42.5 mhz for the sysck.see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_xdiv_42_5 : address : 0xe9 (233) type : rw - dec software reset : 1 description : this register must contain a xdiv value that enables the system pll to generate a frequency of 42.5 mhz for the sysck.see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz pll_system_mdiv_42_5 : address : 0xea (234) type : rw - dec software reset : 10 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
19/45 STA016T description : this register must contain a mdiv value that enables the system pll to generate a frequency of 42.5 mhz for the sysck.see table 4. default value at soft reset assume : external crystal provide a cryck running at 14.31818 mhz 3.3 i2sout_configuration registers description output_conf : address : 0x66 (102) type : rw - dec software reset : 0 description : if set to 1 enable the configurability of the pcm- block output thanks to following registers, else dis- able this configurability and take embedded default configuration for pcm-block registers. note that this embedded default configuration can be retrieved by user thanks to following setting : pcm_div = 3; pcm_conf = 0; pcm_cross = 0; pcm_div : address : 0x67 (103) type : rw - dec software reset : 0 description : if output_conf == 1, configure the divider to gen- erate the bit clock of the i2sout interface, called bck0, from pcmck. according the following relation : bcko = pcmck / 2 * (pcm_div+1) pcm_conf : address : 0x68 (104) type : rw - dec software reset : 0 description : if output_conf == 1, configure the i2sout inter- face according following table. pcm_cross : address : 0x69 (105) type : rw - dec software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 0 0 dv5 dv4 dv3 dv2 dv1 dv0 b7 b6 b5 b4 b3 b2 b1 b0 0 co6 co5 co4 co3 co2 co1 co0 bit fields comment co[1:0 ] 0 : 16 bits mode (16 slots transmitted). 1 : 18 bits mode (18 slots transmitted). 2 : 20 bits mode (20 slots transmitted). 3 : 24 bits mode (24 slots transmitted). co2 polarity of bcko : 0 : data are sent on the falling edge & stable on the rising). 1 : (data are sent on the rising edge & stable on the falling). co3 0 : i2s format is selected 1 : other format is selected co4 polarity of lrcko : 0 : low->right, high->left). 1 : low->left, high->right so compliant to i2s format ). co5 0 : data are in the last bcko cycles of lrcko (right aligned data). 1 : data are in the first bcko cycles of lrcko (left aligned data). co6 0 : the transmission is ls bit first. 1 : the transmission is ms bit first. b7 b6 b5 b4 b3 b2 b1 b0 000000cr1cr0
STA016T 20/45 description : if output_conf == 1, cr[1:0] is used to configure the output crossbar according following table. 3.4 gpso_configuration registers description output_conf : address : 0x66 (102) type : rw - dec software reset : 0 description : note that embedded default configuration for gpso can be retrieved by user thanks to following setting : gpso_conf = b00000011; note that embedded default configuration for pcm block is described at previous chapter. gpso_conf : address : 0x6a (106) type : rw - dec software reset : 0 description : if output_conf == 1, this register configure the gpso interface. 3.5 i2sin_configuration registers description input_conf : address : 0x5a (90) type : rw - dec software reset : 0 description : if set to 1 enable the configurability of the i2sin input thanks to following registers, else disable this config- urability and take embedded default configuration for i2sin registers. note that this embedded default configuration can be retrieved by user thanks to following setting : i_audio_config_1 = b00000110; i_audio_config_2 = b11100000; i_audio_config_3 = b00000001; cr1 cr0 comment 0 0 left channel is mapped on the left output. right channel is mapped on the right output. 0 1 left channel is duplicated on both output channels. 1 0 right channel is duplicated on both output channels. 1 1 right and left channels are toggled. b7 b6 b5 b4 b3 b2 b1 b0 x x x x x 0c2 oc1 oc0 bit fields comment oc0 configuration of gpso : 0 : take embedded default configuration. 1 : configure gpso from register gpso_conf. oc1 use of block pcm to generate clocks (pcmck, lrck & bck): 0 : no use. 1 : use it. oc2 configuration of pcm block: 0 : take embedded default configuration. 1 : configure pcm block from pcm_div & pcm_conf registers. b7 b6 b5 b4 b3 b2 b1 b0 cf7 cf6 cf5 cf4 cf3 cf2 cf1 cf0 bit fields comment cf0 polarity of gpso_ck : 0 : data provided on rising edge & stable on falling edge 1 : data provided on falling edge & stable on rising edge cf1 polarity of gpso_req : 0 : data are valid when gpso_req is high 1 : data are valid when gpso_req is low cf[7:2] reserved : to be set to 0. b7 b6 b5 b4 b3 b2 b1 b0
21/45 STA016T i_audio_config_1: address : 0x5b (91) type : rw - dec software reset : 0 description : if input_conf == 1, this register configure the i2sin interface. i_audio_config_2 : address : 0x5c (92) type : rw - dec software reset : 0 description : see i_audio_config_3 register description.. i_ audio_config_3 : address : 0x5d (93) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- ure the phase of the lrck of the i2sin. 3.6 cdbsa_configuration registers description input_conf : address : 0x5a (90) type : rw - dec software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 cf7 cf6 cf5 cf4 cf3 cf2 cf1 cf0 bit fields comment cf0 relative synchro : 0 : synchro with first data bit 1 : synchro one bit before first data bit cf1 data reception configuration : 0 : lsb first 1 : msb first cf2 polarity of bit clock bck : 0 : data provided on falling edge & stable on rising edge. 1 : data provided on rising edge & stable on falling edge cf3 polarity of lr clock lrck : 0 : negative 1 : positive cf4 start value of lrck : combined with cf3, this bit enable user to determine left/right couple according to the following table. cf[7:5] reserved : to be set to 0. cf3 cf4 left/right couples 0 0 (data1/data2), (data3/data4),... 1 0 (data0/data1), (data2/data3),... 0 1 (data0/data1), (data2/data3),... 1 1 (data1/data2), (data3/data4),... b7 b6 b5 b4 b3 b2 b1 b0 lr7 lr6 lr5 lr4 lr3 lr2 lr1 lr0 b7 b6 b5 b4 b3 b2 b1 b0 000000lr9lr8 bit fields comment lr[4:0] position of the data within the lrck phase : - if cf1 = 0 (lsb), value must be set to[31 - sl[9:5] - bit position of the first bit of data within the lrck phase]. - if cf1 = 1 (msb), value must be set to bit position of the first bit of data within the lrck phase. note that range of value for this bit position is [0:31]. lr[9:5] length-1 of the data. max value is 31. lr[15:10] reserved : to be set to 0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 22/45 description : if set to 1 enable the configurability of the cd & bs input interfaces in audio mode thanks to following registers, else disable this configurability and take embedded default configuration. note that this embedded default configuration can be retrieved by user thanks to following setting : i_audio_config1 = b00010010; // clocks in input // & polarity negative i_audio_config2 = b00110010; // synchro with first data bit // data unsigned, msb first i_audio_config3 = b11001111; // lrck phase length is 1 i_audio_config4 = b00000011; // lrck phase length is 16 i_audio_config5 = 0xff; // received 16 bits i_audio_config6 = 0xff; // received 16 bits i_audio_config7 = 0x00; // received 16 bits i_audio_config8 = 0x00; // received 16 bits i_audio_config9 = 16; // data size is 16 i_audio_config10 = 0x00; // no use because clock in input i_audio_config11 = 0x00; // no use because clock in input _audio_config_1 : address : 0x5b (91) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- urate cd & bs input interfaces in audio mode. i _audio_config_2 : address : 0x5c (92) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- urate cd & bs input interfaces in audio mode. b7 b6 b5 b4 b3 b2 b1 b0 cf7 cf6 cf5 cf4 cf3 cf2 cf1 cf0 bit comment cf0 reserved : to be set to 0 cf1 reserved : to be set to 1 cf2 direction of bit clocks cd_bck & bs_bck: 0 : input 1 : output cf3 polarity of bit clocks cd_bck & bs_bck : 0 : data provided on falling edge & stable on rising edge 1 : data provided on rising edge & stable on falling edge cf4 reserved : to be set to 1 cf5 direction of lr clocks cd_lrck & bs_lrck : 0 : input 1 : output cf6 polarity of lr clocks cd_lrck & bs_lrck : 0 : left sample corresponds to the low level phase of lrck 1 : left sample corresponds to the high level phase of lrck cf7 reserved : to be set to 0 b7 b6 b5 b4 b3 b2 b1 b0 cf15 cf14 cf13 cf12 cf11 cf10 cf9 cf8 bit comment cf8 relative synchro : 0 : synchro with first data bit 1 : synchro one bit before first data bit cf9 data reception configuration : 0 : lsb first 1 : msb first cf10 arithmetic type of the reception : 0 : unsigned data 1 : signed data
23/45 STA016T i_audio_config_3 : address : 0x5d (93) type : rw - dec software reset : 0 description : see i_audio_config_4 register description.. i_audio_config_4 : address : 0x5e (94) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- urate lr clocks (cd_lrck & bs_lrck) of cd & bs input interfaces in audio mode. i_audio_config_5: address : 0x5f (95) type : rw - dec software reset : 0 description : see i_audio_config_8 register description. i_audio_config_6 : address : 0x60 (96) type : rw - dec software reset : 0 description : see i_audio_config_8 register description.. i_audio_config_7 : address : 0x61 (97) type : rw - dec software reset : 0 description : see i_audio_config_8 register description.. cf11 bit to select the reference clock used to generate bck if clocks are in output (cf2=1 & cf5=1). otherwise this bit is useless. 0 : sysck 1 : pcmck cf12 reserved : to be set to 1 cf13 reserved : to be set to 1 cf14 reserved : to be set to 0 cf15 reserved : to be set to 0 b7 b6 b5 b4 b3 b2 b1 b0 lr7 lr6 lr5 lr4 lr3 lr2 lr1 lr0 b7 b6 b5 b4 b3 b2 b1 b0 lr15 lr14 lr13 lr12 lr11 lr10 lr9 lr8 bit fields comment lr[5:0] length-1 of phase 1 of lr clocks cd_lrck & bs_lrck. max value is 31. bit comment lr[11:6] length-1 of phase 2 of lr clocks cd_lrck & bs_lrck. max value is 31. lr[15:12] reserved : to be set to 0 b7 b6 b5 b4 b3 b2 b1 b0 ma7 ma6 ma5 ma4 ma3 ma2 ma1 ma0 b7 b6 b5 b4 b3 b2 b1 b0 ma15 ma14 ma13 ma12 ma11 ma10 ma9 ma8 b7 b6 b5 b4 b3 b2 b1 b0 ma23 ma22 ma21 ma20 ma19 ma18 ma17 ma16 bit fields comment
STA016T 24/45 i_audio_config_8 : address : 0x62 (98) type : rw - dec software reset : 0 description : if input_conf == 1, those registers are used to configure the mask to be appllied to cd_lrck & bs_lrck phase 1 & 2. if mai set to 0, then bit i of both phases is not received. if mai set to 1, then bit i of both phases is re- ceived. i_audio_config_9 : address : 0x63 (99) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- urate the size of the data to be received by cd & bs input interfaces in audio mode. max is 32. i_audio_config_10 : address : 0x64 (100) type : rw - dec software reset : 0 description : see i_audio_config_11 register description. i i_audio_config_11 : address : 0x65 (101) type : rw - dec software reset : 0 description : if input_conf == 1, those registers are used to create bck if configurated in output (so if cf2=1 & cf5=1): then value of dv[15:0] is the divider factor to be applied to the selected clock (cf11 select either sysclk or pcmclk) to create bck. note : value 0 & 1 correspond to a bypass of the di- viders. 3.7 bsb_configuration registers description pol_req : address : 0x59 (89) type : wo - dec software reset : 0 description : this register manage the polarity of the data req signal dreq of the bs input interface. if set to 0, data are requested when req = 0. if set to 1, data are requested when req = 1. input_conf : address : 0x5a (90) type : rw - dec software reset : 0 description : b7 b6 b5 b4 b3 b2 b1 b0 ma31 ma30 ma29 ma28 ma27 ma26 ma25 ma24 b7 b6 b5 b4 b3 b2 b1 b0 dl7 dl6 dl5 dl4 dl3 dl2 dl1 dl0 b7 b6 b5 b4 b3 b2 b1 b0 dv7 dv6 dv5 dv4 dv3 dv2 dv1 dv0 b7 b6 b5 b4 b3 b2 b1 b0 dv15 dv14 dv13 dv12 dv11 dv10 dv9 dv8 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
25/45 STA016T if set to 1 enable the configurability of the bsb input interfaces in burst mode thanks to following register, else disable this configurability and take embedded default configuration. note that this embedded default configuration can be retrieved by user thanks to following setting : i_audio_config1 = b00000000;// polarity choice i_audio_config_1 : address : 0x5b (91) type : rw - dec software reset : 0 description : if input_conf == 1, this register is used to config- ure bsb bit clock. 3.8 cd_configuration registers description basic_command : address : 0x40 (64) type : rw - aec software reset : 0 description : used for giving to dsp basic cd-player commands. fast_functional_val : address : 0x41 (65) type : rw - abo software reset : 0 description : this register specifies the volume of fast function. for the afast forward functiono it is a number between 1 and 20. for the afast rewind functiono it is a number of second required_track : address : 0x42 (66) type : rw - abo software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 0000000cf0 bit comment cf0 polarity of bit clock bs_bck : 0 : data provided on falling edge & stable on rising edge. 1 : data provided on rising edge & stable on falling edge. b7 b6 b5 b4 b3 b2 b1 b0 value command 1 stop playing music 2 pause 3 fast forward 4 fast rewind 5 track up 6 track down 9 directory down 10 directory up 11 play specified track 12 set a play-list index 13 edit play list 14 play current dir 15 play cd from beginning 112 start playing music 113 start searching bytes/mute navigation 124 id3 name of song required 125 id3 name of author required 126 id3 name of album required 127 name of file required 128 name of directory required b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 value command
STA016T 26/45 description : this specifies the number of track to play. required_dir : address : 0x43 (67) type : rw - abo software reset : 0 description : this register specifies the number of directory to play. play_mode : address : 0x44 (68) type : rw - abo software reset : 0 description : this register specifies the playing mode. type_cd_ext_req: address : 0x46 (70) type : ro - aec software reset : 0 description : this register specifies the type of request sent to the cd module. minute_req : address : 0x47 (71) type : ro - aec software reset : 0 description : this register specifies to the cd module the minute location requested. second_req : address : 0x48 (72) type : ro - aec b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 bit mode [1:0] end of directory: 0: play next directory 1: replay same directory 2: make pause. other: reserved [3:2] end of track: 0: play next track. 1: replay same track. 2: make pause. other: reserved 4 next track choice: 0: linear mode. 1: random mode. 5 playing time for track: 0: until end of track. 1: scanning mode. 6 end of cd: 0: stop. 1: replay same cd.. b7 b6 b5 b4 b3 b2 b1 b0 value signific ation 10 application is in pause after eot or eod 18 request for a sector 20 begin of track reached 30 ready to receive a new command 35 dsp ready to run 40 cd application stopped. 66 time spent on track available 112 request for root 120 song information available b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
27/45 STA016T software reset : 0 description : this register specifies to the cd module the second location requested. sector_req : address : 0x49 (73) type : ro - aec software reset : 0 description : this register specifies to the cd module the sector lo- cation requested. minute_spent : address : 0x4a (74) type : ro - aec software reset : 0 description : this register specifies the number of minute spent from the beginning of the track. it is reset at the be- ginning of a new track. second_spent : address : 0x4b (75) type : ro - aec software reset : 0 description : this register specifies the number of second spent from the beginning of the track. it is resected at the beginning of a new track. scanning_time : address : 0x4c (76) type : rw - abo software reset : 0 description : this register specifies in second (<60) the playing time for each track in scanning mode. play_list_index: address : 0x4d (77) type : rw - abo software reset : 0 description : this register specifies the index in the play list of the song to enter in the play list, it is also a value between 1 and the maximum number of track in the directory. play_list_value: address : 0x4e (78) type : rw - abo software reset : 0 description : this register specifies the song index in the directory to enter in the play list, it is also a value between 1 and the maximum number of track in the directory. cd_song_info_cn : address : 0x86 (134) to 0xa5 (165) type : ro - aec software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 28/45 description : this register contains the n th character of the song info required (ascii code). cd_song_type_info : address : 0xa6 (166) type : ro - aec software reset : 0 description : this register specifies the kind of current information contained in the when the track has changed the previous informa- tion are declared anot valido. new valid information should be requested by user. nb_of_cur_track : address : 0xa7 (167) type : ro - aec software reset : 0 description : this register specifies the number of the current track into his directory (sub-directories included): from 1 to max number of track/subdirectory. nb_of_cur_dir : address : 0xa8 (168) type : ro - aec software reset : 0 description : this register specifies the number of the current di- rectory into the cd: from 1 to max number of directo- ry. this number is negative if going backward to the end of the cd with the command directory-down. cd_cur_status : address : 0xa9 (169) type : ro - abo software reset : 0 description : this register gives the status of the cd application. b7 b6 b5 b4 b3 b2 b1 b0 value signification 0 information not valid 1 id3 song name information 2 id3 author name information 3 id3 album name information 4 file name information 5 directory name information 6 bytes requested 7 play list content b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 bit mode 0 0: unknown format. 1: recognized format 1 reserved. 2 0: searching track. 1: track founded. 3 0: id3 present. 1: id3 missing. 4 0: no error detected. 1: error detected. 5 0: cd application in pause. 1: cd application not in pause. 6 0: cd not playable. 1: cd playable. 7 0: music mode. 1: searching bytes mode
29/45 STA016T cd_track_format : address : 0xaa (170) type : ro - aec software reset : 0 description : this register specifies the format of the played track considering the extension name. only 1 bit can be set in the same time: nb_of_subdir : address : 0xab (171) type : ro - aec software reset : 0 description : this register specifies the number of sub-directory in the current directory. nb_of_sub_track : address : 0xac (172) type : ro - aec software reset : 0 description : this register specifies the number of file in the current directory. directory_level : address : 0xad (173) type : ro - aec software reset : 0 description : this register specifies the current directory level. dir_identifier_bn : address : 0xae (174) to 0xb1 (177) type : ro - aec software reset : 0 description : this register specifies the nth byte of the number of byte of the current directory. considering that two di- rectories have very few chance to have exactly the same number of byte, this number allows to identify the directory. the first byte (174) is the msb and the last one (177) is the lsb. vol_identifier_bn: address : 0xb2 (178) to 0xb5 (181) type : ro - aec software reset : 0 description : this register specifies the nth byte of the number of byte of the cd. considering that two cd have very few chance to have exactly the same number of byte, this number allows to identify the cd. the first byte (178) is the msb and the last one (181) is the lsb. b7 b6 b5 b4 b3 b2 b1 b0 bit format 0 0 : unknown 1 : mp3 1 1: reserved 2 mpeg1 3 mpeg2 4 mpg b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 30/45 extract_byte_idx_b n: address : 0xb6 (182) to 0xb8 (185) type : rw - abo software reset : 0 description : this register specifies the n th byte of the index of the byte block to extract from the cd. this number should be relative to the beginning of the track con- taining these bytes. extract_adr_mode : address : 0xba (186) type : rw - abo software reset : 0 description : this register specifies addressing mode type for byte extraction: if set to 0, it is a relative (to the beginning of the current file) addressing mode, if set to 1 it is an absolute addressing mode (relative to the beginning of the cd). cd_config_module : address : 0xbc (188) type : ro - abo software reset : 0xa description : this register set some parameters describing the way the module transmit the data to the dsp. 3.9 command registers description soft_reset : address : 0x10 (16) type : wo - dwt software reset : 0 description : when user write 1 in this register, a soft reset occurs. the core command register and the interrupt register are cleared. the decoder goes into idle mode. ck_cmd : address : 0x3a (58) type : wo - dbo software reset : 1 hardware reset : 1 description : after a soft reset, user must write 0 in ck_cmd to run the core clock of the chip. this will begin the boot of the chip, and so get it out of its idle state. dec_sel : address : 0x55 (85) type : rw - dec software reset : 0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 bit format 0 0: valid data byte swapped. 1: valid data not byte swapped. 1 0: id3 tag not checked 1: id3 tag checked other reference for counting sector in minute. b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 bit format
31/45 STA016T description : this register select the decoding data flux according the mode written in following table. run : address : 0x56 (86) type : rw - dec software reset : 0 description : when a software reset occurs, register run is reset (value 0) by the dsp (see i). when boot routines are finished, the dsp write inside run register the value 2 : this is the start of the external configuration period (start of dec : see i). when the external device wants to end the external configuration period, it must write the value 1 inside the register run: this is the run command that starts the decoding process (see i). crc_ignore : address : 0x52 (82) type : rw - abo software reset : 0 description : for decoders having crc abilities (see each decod- er configuration), if set to 0 enable the check of crc, if set to 1 disable the check of the crc. mute : address : 0x53 (83) type : rw - abo software reset : 0 description : for decoders having mute abilities (see each de- coder configuration), if set to 0 disable the mute of the decoder, if set to 1 enable the mute of the decoder. note that during a mute the input stream keeps on entering. skip : address : 0x57 (87) type : rw - abo software reset : 0 description : for data flux using ussb input, if skip == n>2, de- coder skip (n-1) out of n frames. note that maximum value for n is 8, and if n==0 or n==1, no frames is skipped. pause : address : 0x58 (88) type : rw - abo bit(7:0) mode 0 cd_mp3 1 cd_bypassa 2 reserved 3 bsb_mp3 4 bsb_adpcm_decoder 5 reserved 6 bsa_adpcm_encoder 7 bsa_bypassa 8 i2sin_adpcm_enc 9 i2sin_bypassa 10 sine (test mode chip alive) b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 32/45 software reset : 0 description : for decoders having pause abilities (see each de- coder configuration), if set to 0 disable the pause of the decoder, if set to 1 enable the pause of the de- coder. note that during a pause the input stream is stopped. 3.10 status registers description status_mode : address : 0xcc (204) type : ro - edf software reset : 0 description : this register give the type of the currently decoded bitstream according following table. status_chans_nb : address : 0xcd (205) type : ro - edf software reset : 0 description : this register gives the number of channel currently decoded. status_sf : address : 0xce (206) type : ro - edf software reset : 0 description : this register gives the index of the sampling frequen- cy of the stream currently decoded. note that sam- pling frequency indexes are given by table 5 status_fe : address : 0x6f (111) type : ro - aec software reset : 0 description : this register give the status of the synchronization process according following table. b7 b6 b5 b4 b3 b2 b1 b0 value mode 0 mp3 1 mp3_25 2 reserved 3 reserved 4 reserved 5 adpcm 6 reserved 7 bypass 8 reserved 9 reserved 10 reserved 11 mpg2 12 reserved 13 reserved 14 reserved 15 reserved 16 reserved 17 reserved 18 unknown b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 value level 0 syncrho not started 1 syncword found 2 syncword search 3 syncword hard to find
33/45 STA016T header _n: address : 0xd4 (212) to 0xd9 (217) type : ro - edf software reset : 0 description : this register give the nth byte of the header of the frame currently decoded 3.11 bypassa_configuration registers description chan_nb : address : 0x70 (112) type : rw - dec software reset : 0 description : user must specify the number of channel for bypassa decoder to decode. sampling_freq: : address : 0x71 (113) type : rw - dec software reset : 0 description : user must specify the sampling frequency of the stream to decode if clocks direction of the input inter- face is input. sampling frequency index is given by table 4. pcmclk_input : address : 0xcb (203) type : rw - dec software reset : 0 description : if set to 1, the pcmclk pad is configure as input in order to receive an external reference clock. 3.12 mp3_configuration registers description err_dec_level : address : 0x6b (107) type : ro - edf software reset : 0 description : this register give the status of the mp3 decoding pro- cess according the error level written in following ta- ble. err_dec_nb_1 : address : 0x6c (108) type : ro - edf software reset : 0 description : see err_dec_nb_2 register description. b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 value level 0 no error 1 warning while decoding 2 error while decoding 3 fatal error while decoding b7 b6 b5 b4 b3 b2 b1 b0 er7 er6 er5 er4 er3 er2 er1 er0
STA016T 34/45 err_dec_nb_2 : address : 0x6d (109) type : ro - edf software reset : 0 description : this register give the status of the mp3 decoding pro- cess according the error number written in following table. 3.13 adpcm_configuration registers description chan_nb : address : 0x70 (112) type : rw - dec software reset : 0 description : it allows the user to specify the number of channel of the stream to encode. stream mono stands for only 1 channel is transmit- ted, data are also not interleaved. encode a stereo stream as mono reduce from an half the encoded data. sampling_freq. : address : 0x71 (113) type : rw - dec software reset : 0 description : it allows the user to specify the sampling frequency of the stream to encode.see table 6 of sample fre- quencies. enc_state_repeat : address : 0x72 (114) type : rw - dec software reset : 0 description : it allows the user to specify at which frequency the state of the encoder should be repeated in the stream :(1/host_enc_state_repeat) frame. b7 b6 b5 b4 b3 b2 b1 b0 er15 er14 er13 er12 er11 er10 er9 er8 event comment er0 == 1 crc_error er1 == 1 cutoff_error er2 == 1 big_value_error er3 == 1 hufftable_error er4 == 1 mod_buf_size_error er5 == 1 huffman_decode_error er6 == 1 dynpart_exchange_error er7 == 1 gr_length_error er8 == 1 input_bit_available_error er9 == 1 ch_length_error er10 == 1 head_framelength_error er11 == 1 dynpart_length_error er12 == 1 block_type_error er13 == 1 head_emphasis_error er14 == 1 head_samp_freq_error er15 == 1 head_layer_error b7 b6 b5 b4 b3 b2 b1 b0 value codec 1 stream mono encoded as mono 2 stream stereo encoded as stereo 5 stream stereo encoded as mono with left channel. 9 stream stereo encoded as mono with right channel. b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
35/45 STA016T enc_codec : address : 0x73 (115) type : rw - dec software reset : 0 description : it allows the user to specify the codec to use for the encoding: enc_frame_len : address : 0x74 (116) type : rw - dec software reset : 0 description : it allows the user to specify the number of words by channel included in 1 frame: value from 1 to 15 (mul- tiplied by 64 inside dsp). 3.14 mix_configuration registers description mix_mode: address : 0x75 (117) type : rw - abo software reset : 2 description : this register selectes the mode of mix/volume control : mix_dla: address : 0x76 (118) type : rw - abo software reset : 0 description : this register specifies the direct left attenuation (in db). mix_dlb: address : 0x77 (119) type : rw - abo software reset : 0 description : this register specifies the left attenuation (in db) on rigth channel. mix_dra: address : 0x78 (120) type : rw - abo software reset : 0 description : this register specifies the direct right attenuation (in db). b7 b6 b5 b4 b3 b2 b1 b0 value codec 0 intel/dvi 1 g723_24 2 g721 3 g723_40 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 value mode 0 diseable mix/volume control 1 volume control 2 mono to stereo (up-mix) 3 stereo to mono (down-mix) b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
STA016T 36/45 mix_drb: address : 0x79(121) type : rw - abo software reset : 0 description : this register specifies the rigth attenuation (in db) on left channel. 3.15 tone_configuration registers description tone_on: address : 0x7a(122) type : rw - abo software reset : 0 description : this register enables/diseables (1/0) the tone control. tone_fcuth : address : 0x7b(123) type : rw - abo software reset : 20 description : this register specifies the high cut frequency: fcut(in hz)=(tone_fcuth+1)*50. tone_fcutl : address : 0x7c(124) type : rw - abo software reset : 10 description : this register specifies the low cut frequency: fcut(in hz) = (tone_fcutl+1)*10 tone_gainh : address : 0x7d(125) type : rw - abo software reset : 12 description : this register specifies the gain on high frequencies: gain(in db)=(tone_gainh-12)*1.5 tone_gainl : address : 0x7e(126) type : rw - abo software reset : 12 description : this register specifies the gain on high frequencies: gain (in db)=(tone_gainl-12)*1.5. value of regis- ter from 0 to 24. tone_gain_atten : address : 0x7f(127) type : rw - abo software reset : 0 description : this register specifies the attenuation on global spec- trum: gain (in db)=-tone_gain_atten*1.5. value of register from 0 to 12. b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0 b7 b6 b5 b4 b3 b2 b1 b0
37/45 STA016T 3.16 tables table 2. values to configure audio pll for ofact==256. this table give values to configure the audio pll according cryck so that to generate a pcmck == 256*sf. table 3. values to configure audio pll for ofact==384 this table give values to configure the audio pll according cryck so that to generate a pcmck == 384*sf. register cryck in mhz 10 cryck in mhz 14.31818 cryck in mhz 14.7456 pll_audio_pel_192 42 58 85 pll_audio_peh_192 169 187 85 pll_audio_ndiv_192 0 0 0 pll_audio_xdiv_192 3 3 0 pll_audio_mdiv_192 18 12 2 pll_audio_pel_176 56 54 0 pll_audio_peh_176 16 118 64 pll_audio_ndiv_176 0 0 0 pll_audio_xdiv_176 3 2 3 pll_audio_mdiv_176 17 8 11 register cryck in mhz 10 cryck in mhz 14.31818 cryck in mhz 14.7456 pll_audio_pel_192 224 108 0 pll_audio_peh_192 190 76 0 pll_audio_ndiv_192 0 0 0 pll_audio_xdiv_192 1 1 1 pll_audio_mdiv_192 13 9 9 pll_audio_pel_176 42 54 0 pll_audio_peh_176 140 118 48 pll_audio_ndiv_176 0 0 0 pll_audio_xdiv_176 1 1 1 pll_audio_mdiv_176 12 8 8
STA016T 38/45 table 4. values to configure audio pll for ofact==512. this table give values to configure the audio pll according cryck so that to generate a pcmck == 512*sf. table 5. values to configure system pll for sysck. this table give values to configure the system pll according cryck so that to generate a sysck == 50mhz. or sysck == 42.5mhz. register cryck in mhz 10 cryck in mhz 14.31818 cryck in mhz 14.7456 pll_audio_pel_192 42 58 85 pll_audio_peh_192 169 187 85 pll_audio_ndiv_192 0 0 0 pll_audio_xdiv_192 1 0 1 pll_audio_mdiv_192 18 5 12 pll_audio_pel_176 56 157 0 pll_audio_peh_176 16 157 64 pll_audio_ndiv_176 0 0 0 pll_audio_xdiv_176 1 1 1 pll_audio_mdiv_176 17 11 11 register cryck in mhz 10 cryck in mhz 14.31818 cryck in mhz 14.7456 pll_system_pel_50 162 0 28 pll_system_peh_50 11 0 152 pll_system_ndiv_50 0 0 0 pll_system_xdiv_50 1 1 1 pll_system_mdiv_50 19 13 12 pll_system_pel_42_5 0 126 100 pll_system_peh_42_5 0 223 135 pll_system_ndiv_42_5 0 0 0 pll_system_xdiv_42_5 1 1 1 pll_system_mdiv_42_5 16 10 10
39/45 STA016T table 6. index of the sampling frequency. 3.17 notations abo : after boot (see i). aec : after external config (see i). bck : bit clock bsa : bitstream input interface in audio mode. bsb : bitstream input interface in burst mode. bs : bitstream input interface. bypassa : decoder bypass an audio stream. cd : input interface for cd. ck : clock. cryck : crystal clock provided to the chip by an external crystal. dbo : during boot (see i). dec : during external config (see i). dwt : during whole time (see i). edb : every decoded block (see i). edf : every decoded frame (see i). lrck : left right clock for an i2s interface. ofact : oversampling factor for pcmck (pcmck == ofact * sf). pcmck : pcm clock (can be generated by the audio pll). sf : sampling frequency. sysck : system clock (clock of the core, can be generated by the system pll). x : don't care. index frequency 0 48 khz 144.1khz 2 32 khz 4 96 khz 588.2khz 6 64 khz 8 24 khz 9 22.05 khz 10 16 khz 12 12 khz 13 11.025 khz 14 8 khz 16 192 khz 17 176.4 khz 18 128 khz 3, 7, 11, 15 or 19 illegal frequency
STA016T 40/45 i/o cell description 1) ttl tristate output pad buffer , 3v capable 4ma, with slew rate control pin numbers: 4, 18, 20, 21, 22, 25, 54, 56, 59 2) ttl schmitt trigger bidir pad buffer , 3v capable, 4ma, with slew rate control pin numbers: 1, 2, 3, 7, 8, 9, 19 3) ttl schmitt trigger inpud pad buffer , 3v capable / pin numbers:17, 60, 63 4) ttl inpud pad buffer, 3v capable with pull-up / pin numbers:15, 16 5) ttl schmitt trigger bidir pad buffer , with pull-up, 4ma, with slew rate control / 3v capable pin numbers: 26, 27, 28, 31, 32, 33, 34, 35, 44, 45, 46, 47, 48, 49, 50, 51, 64 6) ttl input pad buffer, 3v capable, with pull down / pin numbers: 12, 13, 14, 55 input pin max load z 100pf input pin capacitance output pin max load io tbd io 100pf input pin capacitance atbd input pin capacitance atbd input pin capacitance output pin max load io tbd io 100pf input pin capacitance atbd en a d98au904 z en a d98au905 zi io a d98au906 z a d98au907 z en a d00au1150 zi io a d00au1222 z
41/45 STA016T 4 command protocol configuration general information about the command protocol i2c protocol : cd_module & mmdsp are using an i2c protocol to communicate : cd_module is master of the i2c protocol, and can access (in read and write mode) host registers of the sta016 to write commands to the mmdsp and to read request from the mmdsp. it must use following i2c syntax : device_address, host_register_number, host_register_value where : for a write acces, device_address is 0x86. for a read acces, device_address is 0x87. writing a command to mmdsp : cd_module write its command inside dedicated host registers (mainly h64 to h69), then it must signals the writ- ing of this command to mmdsp by sending the interrupt it_cmd to the core of mmdsp. note that it_cmd is generated by cd_module threw a falling edge on the input line number 0 of the sta016 (the intline[0] pin). reading a request from mmdsp : mmdsp write its request inside dedicated host registers (mainly h70 to h78 and h134 to h169), then it signals to cd_module that it must read a request by sending the interrupt it_req. note that it_req interrupt is generated by mmdsp on the irqb pin of sta016. note also that once it has finished to read the message, cd_module must always acknowledge it by reading h10.
STA016T 42/45 figure 4. block diagram for running the cd application. power on cd inserted ? no wait it_req with 35 in h70 start cd-rom application: write 0 in h85, then 1 in h86 send play_music command : write 112 in h64 send it_cmd wait it_req with 112 in h70 any command? cd ejected? run other application? send pause command : write 2 in h64 send it_cmd run the other application no yes yes return to cd? no yes send other command : write in h64 send it_cmd hxx: host register number xx write 1 in soft_reset write 0 in ck_cmd
43/45 STA016T figure 5. block diagram for answer to a sector request from dsp. power on h70==18 read minute in h71 read frame in h73 read second in h72 acknowledge it_req please check with rest of documentation move the pick-up according to m,s,f acknowledge it_req hxx: host register number xx it_req occured
STA016T 44/45 tqfp64 dim. mm inch min. typ. max. min. typ. max. a 1.60 0.063 a1 0.05 0.15 0.002 0.006 a2 1.35 1.40 1.45 0.053 0.055 0.057 b 0.18 0.23 0.28 0.007 0.009 0.011 c 0.12 0.16 0.20 0.0047 0.0063 0.0079 d 12.00 0.472 d1 10.00 0.394 d3 7.50 0.295 e 0.50 0.0197 e 12.00 0.472 e1 10.00 0.394 e3 7.50 0.295 l 0.40 0.60 0.75 0.0157 0.0236 0.0295 l1 1.00 0.0393 k 0 (min.), 7 (max.) a a2 a1 b c 16 17 32 33 48 49 64 e3 d3 e1 e d1 d e 1 k b tqfp64 l l1 seating plane 0.10mm outline and mechanical data
information furnished is believed to be accurate and reliable. however, stmicroelectronics assumes no responsibility for the consequences of use of such information nor for any infringement of patents or other rights of third parties which may result from its use. no license is granted by implication or otherwise under any patent or patent rights of stmicroelectronics. specifications mentioned in this publication are subject to change without notice. this publication supersedes and replaces all information previously supplied. stmicroelectronics products are not authorized for use as critical components in life support devices or systems without express written approval of stmicroelectronics. the st logo is a registered trademark of stmicroelectronics 2001 stmicroelectronics - all rights reserved stmicroelectronics group of companies australia - brazil - china - finland - france - germany - hong kong - india - italy - japan - malaysia - malta - morocco - singapore - spain - sweden - switzerland - united kingdom - u.s.a. http:// www.st.com 45/45 STA016T note:1 sta016 is a device based on an integrated dsp core. some of the i 2 c registers default values are loaded after an internal dsp boot oper- ation. the bootstrap time is 60 micro second. only after this time lenght, the data in the register can be considered stable.


▲Up To Search▲   

 
Price & Availability of STA016T

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X